您所在位置: 网站首页 / 文档列表 / Delphi/Perl / 文档详情
VHDL各种D触发器程序.doc 立即下载
2024-04-15
约3.2千字
约6页
0
63KB
举报 版权申诉
预览加载中,请您耐心等待几秒...

VHDL各种D触发器程序.doc

VHDL各种D触发器程序.doc

预览

在线预览结束,喜欢就下载吧,查找使用更方便

10 金币

下载文档

如果您无法下载资料,请参考说明:

1、部分资料下载需要金币,请确保您的账户上有足够的金币

2、已购买过的文档,再次下载不重复扣费

3、资料包下载后请先用软件解压,在使用对应软件打开

VHDL各种D触发器程序VHDL各种D触发器程序VHDL各种D触发器程序第一题:普通触发器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(CLK,Q1)BEGINIFCLK’EVENTANDCLK='1’THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第二题:异步清零触发器LIBRARYIEEE;USEIEEE。STD_LOGIC_1164。ALL;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC;ACLK:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(ACLK,CLK,Q1)BEGINIFACLK='1’THENQ1〈=’0’;ELSIFCLK’EVENTANDCLK=’1’THENQ1〈=D;ENDIF;ENDPROCESS;Q〈=Q1;ENDFFQ;第三题:同步清零触发器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164。ALL;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC;SCLK:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(SCLK,CLK,Q1)BEGINIFCLK’EVENTANDCLK='1'THENIFSCLK=’1'THENQ1〈='0';ELSEQ1〈=D;ENDIF;ENDIF;ENDPROCESS;Q〈=Q1;ENDFFQ;第四题:异步置位apreLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC;APRE:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(APRE,CLK,Q1)BEGINIFAPRE=’1'THENQ1〈=’1';ELSIFCLK’EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q〈=Q1;ENDFFQ;第五题:同步置位spreLIBRARYIEEE;USEIEEE.STD_LOGIC_1164。ALL;ENTITYDchuISPORT(CLK:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC;SPRE:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(SPRE,CLK,Q1)BEGINIFCLK'EVENTANDCLK='1’THENIFSPRE=’1’THENQ1〈='1’;ELSEQ1<=D;ENDIF;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第六题:异步清零,异步置位LIBRARYIEEE;USEIEEE.STD_LOGIC_1164。ALL;ENTITYDchuISPORT(CLK:INSTD_LOGIC;ACLR:INSTD_LOGIC;APRE:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(APRE,ACLR,CLK,Q1)BEGINIFACLR=’1’THENQ1<='0’;ELSIFAPRE=’1'THENQ1<=’1’;ELSIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q〈=Q1;ENDFFQ;第七题:同步使能LIBRARYIEEE;USEIEEE。STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC;EN:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(EN,CLK,Q1)BEGINIFCLK’EVENTANDCLK=’1’THENIFEN=’1’
单篇购买
VIP会员(1亿+VIP文档免费下)

扫码即表示接受《下载须知》

VHDL各种D触发器程序

文档大小:63KB

限时特价:扫码查看

• 请登录后再进行扫码购买
• 使用微信/支付宝扫码注册及付费下载,详阅 用户协议 隐私政策
• 如已在其他页面进行付款,请刷新当前页面重试
• 付费购买成功后,此文档可永久免费下载
全场最划算
12个月
199.0
¥360.0
限时特惠
3个月
69.9
¥90.0
新人专享
1个月
19.9
¥30.0
24个月
398.0
¥720.0
6个月会员
139.9
¥180.0

6亿VIP文档任选,共次下载特权。

已优惠

微信/支付宝扫码完成支付,可开具发票

VIP尽享专属权益

VIP文档免费下载

赠送VIP文档免费下载次数

阅读免打扰

去除文档详情页间广告

专属身份标识

尊贵的VIP专属身份标识

高级客服

一对一高级客服服务

多端互通

电脑端/手机端权益通用

手机号注册 用户名注册
我已阅读并接受《用户协议》《隐私政策》
已有账号?立即登录
我已阅读并接受《用户协议》《隐私政策》
已有账号?立即登录
登录
手机号登录 微信扫码登录
微信扫一扫登录 账号密码登录

首次登录需关注“豆柴文库”公众号

新用户注册
VIP会员(1亿+VIP文档免费下)
全场最划算
12个月
199.0
¥360.0
限时特惠
3个月
69.9
¥90.0
新人专享
1个月
19.9
¥30.0
24个月
398.0
¥720.0
6个月会员
139.9
¥180.0

6亿VIP文档任选,共次下载特权。

已优惠

微信/支付宝扫码完成支付,可开具发票

VIP尽享专属权益

VIP文档免费下载

赠送VIP文档免费下载次数

阅读免打扰

去除文档详情页间广告

专属身份标识

尊贵的VIP专属身份标识

高级客服

一对一高级客服服务

多端互通

电脑端/手机端权益通用