围棋入门实用教程.docx

围棋入门实用教程围棋入门实用教程(一览)围棋就是人们日常生活的一项休闲的活动,而由于其益智的效果使得人恶魔呢非常喜欢它,下面小编为大家带来围棋入门实用教程,欢迎大家参考阅读,希望能够帮助到大家!围棋入门实用教程一、替人成空法在对方棋形还不完整时,走出一些俗手、恶手,帮助对方把棋形补强,形成实空。(压四路、后推车等)。二、攻击落空法在攻击对方的薄棋时,没有根据当前局面的判断,一味地强攻对方,甚至往己方空中追,导致落空。缺乏计算深度,攻击对方时,被对方先手活棋(或走畅薄棋),占到它处要点。三、帮人做活法在对方

甲申****66
10
15KB
7页
3.4千字
2024-05-08

vhdl在FPGA设计中的应用.docx

vhdl在FPGA设计中的应用vhdl在FPGA设计中的应用集成电路设计规模及复杂度不断增大,用传统原理图方法进行系统级芯片设计已不能满足设计要求,而硬件描述语言(HDL,HardwareDescriptionLanguage)在进行大规模数字系统设计时具有诸多优势,因此利用硬件描述语言进行系统行为级设计已成为FPGA与ASIC设计的主流。目前最流行、最具代表性的硬件描述语言是美国国防部(DOD)开发的VHDL(VHSICHardwareDescriptionLanguage)和GDA(GatewayDe

努力****亚捷
10
12KB
4页
1.6千字
2024-05-07

网上开店创业实用教程.docx

网上开店创业实用教程网上开店创业实用教程:更改网店产品销售价格首先需要做好市场定位价格高低决定产品的销售数量在进行产品的营销与宣传推广的时候,为获得更好的效果,需要店主能够及时的了解市场的行情。能够根据市场行情分析如何开网店的方法,并且确定好设备的销售价格,即可提高网店产品的整体销售数量。当产品的销售数量出现降低之后,需要店主快速的分析具体原因。能够认真的分析出导致产品销售减少的原因,并且进行快速的处理,即可保障网店的销售产品数量不断增加。网店销售的产品数量不断增加,可以帮助每位店主利用适合的价格实现销售

是你****深呀
10
11KB
3页
1.3千字
2024-04-28

autocad2007实用教程.docx

autocad2007实用教程自学cad制图第1章基础1.设备绘图界限一般来说,如果用户不作任何设置,系统对作图范围没有限制,可以将绘图区看作是一幅无穷大的图纸。格式-图形界线命令:limits出现四个选项:开、关、指定左下角点、指定右下角点。2.设备绘图单位格式-单位命令:ddunits长度、角度、插入比例、方向3.对象选择三种方式选择:①直接选择②窗口选择(左选)③交叉选择(右选)。当对象处于选择状态时,在其上会出现若干个带颜色的小方框,称为夹点。工具-选项-选择集未选中:兰色选中:红色暂停:绿色夹点

兴朝****45
10
17KB
12页
7千字
2024-04-28

基于VHDL的八路抢答器设计--EDA课程设计.doc

PAGEPAGE28第一章EDA技术简介EDA在通信行业(电信)里的另一个解释是企业数据架构,EDA给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构

猫巷****永安
10
367KB
28页
2.4万字
2024-04-16

八位数码管的动态显示VHDL程序.doc

八位数码管的动态显示VHDL程序八位数码管的动态显示VHDL程序八位数码管的动态显示VHDL程序libraryieee;useieee.std_logic_1164。all;useieee。std_logic_arith。all;useieee。std_logic_unsigned。all;--——--—---—--------——————-—--—--————-------—-——-——-----—-----———--——entityseg_displayisport(clk:instd_logic;-

冬易****娘子
10
18KB
2页
3千字
2024-04-16

VHDL1602时钟.doc

VHDL1602时钟VHDL1602时钟VHDL1602时钟VHDL1602液晶显示时钟驱动代码libraryIEEE;useIEEE。STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH。ALL;useIEEE.STD_LOGIC_UNSIGNED。ALL;entitylec1602isPort(CLK:instd_logic;LCD_RS:outstd_logic;LCD_RW:outstd_logic;LCD_EN:outstd_logic;LCD_Data:outs

丹烟****魔王
10
21KB
3页
3.6千字
2024-04-16

Word2010实用教程(整理稿).doc

Word2010实用教程(整理稿)Word2010实用教程(整理稿)Word2010实用教程(整理稿)继续教育,专业技术Word2010实用教程整理稿1.单选题【本题型共25道题】1.在Word的编辑状态下,使用“格式刷"按钮,()。A.只能复制字体格式,不能复制段落格式B.只能复制段落格式,不能复制字体格式C.既能复制段落格式,也能复制字体格式,但不能复制文字内容D.段落格式、字体格式和文字内容都能复制2.以下有关页码正确的是()。A.首页一定显示页码B.不可用“壹、贰、叁…"编页码C.页码一定从“1”

是雁****找我
10
25KB
10页
3.4千字
2024-04-15

VHDL复习题.doc

VHDL复习题VHDL复习题VHDL复习题习题3。1比较常用硬件描述语言VHDL、Verilog和ABEL语言的优劣。1.VHDL:描述语言层次较高,不易控制底层电路,因而对综合器的性能要求较高.有多种EDA工具选择,已成为IEEE标准。应用VHDL进行工程设计的优点是多方面的,具体如下:(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力。(2)VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能查验设计系统的功能可行性,随时可对系统进行仿真模拟,使设计者对整个工程的结构和功能

茂学****23
10
4.5MB
31页
2.5万字
2024-04-15

VHDL习题解答.doc

VHDL习题解答VHDL习题解答VHDL习题解答VHDL程序设计教程习题参考解答第一章习题参考答案1.什么是VHDL?VHDL的实现有哪几种形态?硬件描述语言(HardwareDescriptionLanguage,HDL),顾名思义,是电子系统硬件行为描述、结构描述、数据流描述的语言。VHDL语言的英文全名是VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage,即超高速集成电路硬件描述语言。2.简述VHDL的发展史。1981年6月VHDL工作

是翠****ng
10
213KB
13页
1.2万字
2024-04-15

VHDL和VerilogHDL的区别.doc

VHDL和VerilogHDL的区别VHDL和VerilogHDL的区别PAGE\*MERGEFORMAT7VHDL和VerilogHDL的区别VHDL与VerlogHDL的不同点序号VHDLVerilog1文件的扩展名不一样.VHD.v2结构不一样包含库、实体、结构体Entity实体名isPort(端口说明)End实体名;Architecture结构体名of实体名is说明部分Begin赋值语句;元件语句;进程语句等;End结构体名;模块结构(module………endmodule)module模块名

白凡****12
10
141KB
7页
5.5千字
2024-04-15

VHDL各种D触发器程序.doc

VHDL各种D触发器程序VHDL各种D触发器程序VHDL各种D触发器程序第一题:普通触发器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(CLK,Q1)BEGINIFCLK’EVENTANDCLK='1’THENQ1<=D;ENDIF;ENDPROCESS;Q

鸿朗****ka
10
63KB
6页
3.2千字
2024-04-15

毕业论文设计-基于VHDL语言的八路数字抢答器设计.doc

石家庄经济学院本科生科技论文PAGE\*MERGEFORMAT16题目:基于VHDL语言的八路数字抢答器设计摘要抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,是竞赛问答中一种常用的必备装置;从原理上讲,它是一种典型的数字电路,其中包括了组合逻辑电路和时序逻辑电路.电路结构形式多种多样,可以利用简单的与非门构成,也可以利用触发器构成,也可以利用单片机来完成.利用单片机来设计抢答器,使得结果更简单,功能更优越。本设计是基于单片机控制的六路抢答器,利用单片机的定时器/计数器定时和记数的原理

黛娥****ak
10
3.4MB
16页
2.9万字
2024-04-15

基于VHDL语言的汽车尾灯的设计.doc

PAGEPAGE12基于VHDL语言的汽车尾灯的设计长沙理工大学城南学院《EDA技术与运用》课程项目报告基于VHDL语言的汽车尾灯的设计目录TOC\o"1-3"\h\z\uHYPERLINK\l"_Toc402945756"1.设计项目及问题分析PAGEREF_Toc402945756\h2HYPERLINK\l"_Toc402945757"1.1设计项目PAGEREF_Toc402945757\h2HYPERLINK\l"_Toc402945758"1.2项目

靖烟****魔王
10
197KB
13页
1.7万字
2024-04-15

基于VHDL的电子计时器的设计课程设计.doc

EDA技术及应用课程设计题目:基于VHDL的数字计时器班级:电气1202班姓名:李玉靖学号:20121131080指导老师:汪媛(课程设计时间:2015年1月5日——2015年1月9日)华中科技大学武昌分校目录1引言.....................................................11.1EDA简介.....................................................................................

是你****晨呀
10
610KB
27页
2.7万字
2024-04-15

VHDL课程设计-10kV新建小区电能计量及远程数据系统工程初步设计.doc

VHDL课程设计题目多功能万年历的数字钟设计专业名称学生姓名指导教师完成时间一:课程设计的任务设计一个多功能的数字时钟,具有以下功能:1.正常的显示功能:显示AM、PM、时、分、秒(24进制或者12进制)。2.手动校时功能:按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。按动按键有滴滴声,并且数码管显示的数字会闪动。3.万年历功能:显示年、月、日、星期、是否闰年。4.手动校正日历功

Ro****44
10
503KB
43页
7.2万字
2024-04-15

毕业论文-基于VHDL的电子密码锁的设计论文.doc

下载需知SelectionParagraphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesToPointselectionParagraaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaphFormatLineSpacingLinesToPointsSelectionParagraphFormatLineSpacingLinesTSelectionParbbbbbbbb

兴朝****45
10
392KB
22页
2.5万字
2024-04-15

基于VHDL的乒乓球游戏机设计--课程设计说明书.doc

课程设计说明书NO.PAGE46沈阳大学乒乓球游戏机课程设计的目的《EDA技术》是电子信息科学与技术专业学生在电子技术实验技能方面综合性质的实验训练课程,其目的和任务是通过一周的时间,让学生掌握EDA的基本方法,熟悉一种EDA软件(VHDL),并能利用EDA软件设计一个电子技术综合问题,为以后进行工程实际问题的研究打下设计基础。2.设计方案论证2.1EDA、VHDL简介EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言

羽沫****魔王
10
4.8MB
62页
4.4万字
2024-04-15

基于VHDL的频率计设计-电子技术与通行工程等专业毕业设计-毕业论文.doc

PAGE1本科生毕业论文(设计)题目:基于VHDL的频率计设计专业代码:作者姓名:学号:单位:物理科学与信息工程学院指导教师:目录TOC\o"1-3"\h\uHYPERLINK\l_Toc19443引言PAGEREF_Toc194431HYPERLINK\l_Toc25851EDA技术发展概况PAGEREF_Toc25851HYPERLINK\l_Toc69681.1VHDL软件设计简介PAGEREF_Toc69681HYPERLINK\l_Toc7704

篷璐****爱吗
10
841KB
39页
3.8万字
2024-04-15

毕设论文--于基vhdl语言的数据采集系统.doc

用VHDL语言设计数据采集系统第PAGE38页共NUMPAGES38页毕业设计论文用VHDL语言设计数据采集系统摘要随着计算机技术的发展与普及,数字设备正越来越多地取代模拟设备,将模拟信号转换成数字信号以及将数字信号转换成模拟信号就成了重要环节。本系统以多路数据的采集及监测为例,介绍了可编程逻辑器件在模数转换、数模转换及数据监控及处理中的设计方法。实现数据采集的方法有很多,如单片机、CPLD、C语言等,但相比各种方法,运用VHDL硬件描述语言开发的数据采集系统具有具有设计方便高效、体积小、功耗

一条****贺6
10
2.6MB
38页
3.2万字
2024-04-15

基于VHDL的8位模型计算机的设计与实现学士学位论文.doc

理工大学学士学位论文PAGE\*MERGEFORMATI摘要随着计算机在人们生活中重要性和不可或缺性的提高,为了更方便的为大众使用,发展计算机性能成为IT行业的热点,但计算机的内部结构极其复杂,为了便于研究便产生了模型计算机。本文完成了基于VHDL的8位模型计算机的设计与实现。文中首先阐述了8位模型计算机的原理,然后对其十个功能模块(算术逻辑运算单元,累加器,控制器,地址寄存器,程序计数器,数据寄存器,存储器,节拍发生器,时钟信号源,指令寄存器和指令译码器)进行了分析与设计。最后在QuartusII

书生****22
10
749KB
81页
7.1万字
2024-04-15

基于vhdl的8位模型计算机的设计与实现本科毕设论文.doc

理工大学学士学位论文PAGE\*MERGEFORMATI摘要随着计算机在人们生活中重要性和不可或缺性的提高,为了更方便的为大众使用,发展计算机性能成为IT行业的热点,但计算机的内部结构极其复杂,为了便于研究便产生了模型计算机。本文完成了基于VHDL的8位模型计算机的设计与实现。文中首先阐述了8位模型计算机的原理,然后对其十个功能模块(算术逻辑运算单元,累加器,控制器,地址寄存器,程序计数器,数据寄存器,存储器,节拍发生器,时钟信号源,指令寄存器和指令译码器)进行了分析与设计。最后在QuartusII

茂学****23
10
749KB
81页
7.1万字
2024-04-15

VHDL语言实验系统—后台PC机支持程序设计-计算机毕业设计毕业论文.doc

河北大学2009届本科生毕业论文(设计)PAGEPAGE26VHDL语言实验系统——后台PC机支持程序设计摘要USB产业近几年的迅猛发展,使得传输速度和性能上都有了大幅度的提高,也使得该技术的优势越来越明显,应用也相应的越来越广泛。学习和应用单片机的热潮始终不减,特别是MCS51系列。实际上,从应用通用数字集成电路系统到广泛应用单片机,是我国电子设计在智能化应用水平上质的飞跃。本文主要介绍的是PC机上驱动程序的编写,主要包括WindowsUSB驱动程序设计,即编写用户程序以及内核驱动并让其进行

又珊****ck
10
186KB
26页
2.7万字
2024-04-15

VHDL课程设计-10kV新建小区电能计量及远程数据系统工程初步设计.doc

VHDL课程设计题目多功能万年历的数字钟设计专业名称学生姓名指导教师完成时间一:课程设计的任务设计一个多功能的数字时钟,具有以下功能:1.正常的显示功能:显示AM、PM、时、分、秒(24进制或者12进制)。2.手动校时功能:按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。按动按键有滴滴声,并且数码管显示的数字会闪动。3.万年历功能:显示年、月、日、星期、是否闰年。4.手动校正日历功

白真****ng
10
548KB
43页
6.1万字
2024-04-15

ASK调制VHDL程序设计-通信与电子系毕业设计论文.doc

PAGE1XX信息职业技术学院毕业设计报告(论文)系别:通信与电子系专业:班级:学生姓名:学生学号:设计(论文)题目:ASK调制VHDL程序设计指导教师:XX起讫日期2011年09月05日~2011年11月18日XX信息职业技术学院毕业设计(论文)成绩评定表学生姓名系部通信与电子系班级课题名称ASK调制VHDL程序设计指导教师评语:在整个毕业设计的过程,态度端正,学习也比较认真,时间安排也很合理,能按时到实验室,不存在无故早退或迟到的情况。能基本在每个阶段完成相应的任务,还能主动加班,做到时间上前紧

映雁****魔王
10
575KB
22页
2.4万字
2024-04-15

简易数字频率计设计论文(CPLD-VHDL).doc

PAGEPAGE40摘要采用自上向下的设计方法,设计了基于复杂可编程逻辑器件的数字频率计。以AT89C51单片机作为系统的主控部件,完成电路的测试信号控制、数据运算处理、键盘扫描和控制数码管显示。用VHDL语言编程,由CPLD(ComplexProgrammableLogicDevice)完成各种时序控制及计数功能。该系统具有结构紧凑、可靠性高、测频范围宽和精度高等特点。关键词可编程逻辑器件CPLD等精度测量法单片机VHDLAbstactWiththeadoptionofthetop-down

夏萍****文章
10
839KB
40页
1.9万字
2024-04-15

毕设论文--于基vhdl的2fsk调制与解调.doc

基于VHDL的2FSK调制与解调目录第一章概述1.1引言FSK信号可利用一个矩形脉冲序列对一个载波进行调频而获得,这正是频率键控通信方式早期采用的实现方法,也是利用模拟调频法实现数字调频的方法.FSK信号的另一方法是采用键控法,即利用受矩形脉冲序列控制的开关电路对两个不同的独立频率进行选通.二进制FSK信号的常用解调方法是采用非相干检测法和相干检测法。1.2FSK简介FSK是信息传输中使用得较早的一种调制方式,它的主要优点是:实现起来较容易,抗噪声与抗衰减的性能较好。在中低速数据传输中得到了广泛的应用。1

一只****ua
10
1.3MB
24页
6.6千字
2024-04-15

毕业论文-基于VHDL的音乐播放器的设计(终稿).doc

ABSTRACT摘要PAGE20PAGE19摘要在EDA开发工具QuartusII6.0平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,预先定制乐曲,实现动态显示乐曲演奏电路的设计,并在此基础上,基于同一原理,使此电路同时具备了简易电子琴的功能,使基于CPLD/FPGA芯片的乐曲播放数字电路得到了更好的优化,提高了设计的灵活性和可扩展性。关键字:EDA;QuartusII;VHDL;CPLD/FPGA;乐曲演奏电路;简易电子琴AbstractABSTRACTBased

猫巷****傲柏
10
243KB
24页
2万字
2024-04-15

本科毕业论文---基于vhdl语言的两位数简易记分板设计.doc

本科毕业设计(2015届)题目:基于VHDL语言的两位数简易记分板设计学院:机电工程学院专业:自动化学生姓名:学号:指导教师:职称(学位):合作导师:职称(学位):完成时间:2015年05月25日成绩:黄山学院教务处制学位论文原创性声明兹呈交的学位论文,是本人在指导老师指导下独立完成的研究成果。本人在论文写作中参考的其他个人或集体的研究成果,均在文中以明确方式标明。本人依法享有和承担由此论文而产生的权利和责任。声明人(签名):年月日黄山学院本科毕业设计说明书正文目录TOC\o"1-1"\h\uHYP

永梅****33
10
2.1MB
36页
2.6万字
2024-04-15

学士学位论文--基于vhdl的2fsk调制与解调-正文.doc

基于VHDL的2FSK调制与解调基于VHDL的2FSK调制与解调第一章概述1.1引言FSK信号可利用一个矩形脉冲序列对一个载波进行调频而获得,这正是频率键控通信方式早期采用的实现方法,也是利用模拟调频法实现数字调频的方法.FSK信号的另一方法是采用键控法,即利用受矩形脉冲序列控制的开关电路对两个不同的独立频率进行选通.二进制FSK信号的常用解调方法是采用非相干检测法和相干检测法。1.2FSK简介FSK是信息传输中使用得较早的一种调制方式,它的主要优点是:实现起来较容易,抗噪声与抗衰减的性能较好。在中低速数

依波****bc
10
1.3MB
23页
6.5千字
2024-04-15
手机号注册 用户名注册
我已阅读并接受《用户协议》《隐私政策》
已有账号?立即登录
我已阅读并接受《用户协议》《隐私政策》
已有账号?立即登录
登录
手机号登录 微信扫码登录
微信扫一扫登录 账号密码登录

首次登录需关注“豆柴文库”公众号

新用户注册
VIP会员(1亿+VIP文档免费下)
全场最划算
12个月
199.0
¥360.0
限时特惠
3个月
69.9
¥90.0
新人专享
1个月
19.9
¥30.0
24个月
398.0
¥720.0
6个月会员
139.9
¥180.0

6亿VIP文档任选,共次下载特权。

已优惠

微信/支付宝扫码完成支付,可开具发票

VIP尽享专属权益

VIP文档免费下载

赠送VIP文档免费下载次数

阅读免打扰

去除文档详情页间广告

专属身份标识

尊贵的VIP专属身份标识

高级客服

一对一高级客服服务

多端互通

电脑端/手机端权益通用